Welcome![Sign In][Sign Up]
Location:
Search - input d

Search list

[VHDL-FPGA-Verilog2x8bit_dac

Description: 用EPM7032(CPLD)做的2路8位并行输入DAC,带内部环型振荡器(不用外接时钟振荡源)。-With EPM7032 (CPLD) to do 2-way 8-bit parallel input DAC, with the internal ring oscillator (no external clock oscillation source).
Platform: | Size: 3072 | Author: 邵刚 | Hits:

[Data structstushendu

Description: 图的深度遍历,输出结果为(红色为键盘输入的数据,权值都置为1): 输入顶点数和弧数:8 9 输入8个顶点. 输入顶点0:a 输入顶点1:b 输入顶点2:c 输入顶点3:d 输入顶点4:e 输入顶点5:f 输入顶点6:g 输入顶点7:h 输入9条弧. 输入弧0:a b 1 输入弧1:b d 1 输入弧2:b e 1 输入弧3:d h 1 输入弧4:e h 1 输入弧5:a c 1 输入弧6:c f 1 输入弧7:c g 1 输入弧8:f g 1 深度优先遍历: a b d h e c f g 程序结束. -Traverse the depth chart, the output results (red for the keyboard input data, weights are set to 1): Enter the number of Vertices and arcs: 8 9 input vertex 8. Input vertex 0: a input vertex 1: b input Vertex 2: c input vertex 3: d input vertex 4: e input vertex 5: f input vertex 6: g Enter the Vertex 7: h input arc 9. input arc 0: ab 1 input arc 1: bd 1 input arc 2: be 1 input arc 3: dh 1 input arc 4: eh 1 input arc 5: ac 1 input arc 6: cf 1 input arc 7: cg 1 input arc 8: fg 1 depth-first traversal: abdhecfg end of the process.
Platform: | Size: 1024 | Author: Louis | Hits:

[SCMadc

Description: 编写verilog代码 利用实验箱上的A/D芯片完成模数转换。输入电压由实验箱提供,其幅值在0~5V间变化,由电位器控制。输出信号显示输入的模拟电压值,由数码管显示为2位BCD码的形式。-The preparation of Verilog code box on the use of experimental A/D chip to complete analog-digital conversion. Input voltage provided by the experimental box, and its amplitude in the 0 ~ 5V between changes in control by potentiometer. Output signal shows that the value of analog voltage input from a digital display for two BCD code of the form.
Platform: | Size: 22528 | Author: Ericwhu | Hits:

[VHDL-FPGA-VerilogADcaiyang

Description: A/D采样控制模块设计 A/D采样控制模块负责控制外部ADC0809芯片多路模拟输入量的选通以及实现对A/D采样过程的合理控制。此部分的设计根据《EDA技术与VHDL》P211——P212的例8-2编写,所不同的是这里将书中“ADDA<=1”的赋值语句改为“ADDA <=EN”,EN是所设置的输入按键用来控制INO与IN1间的通道选择。 -A/D sampling control module designed A/D sampling control modules responsible for controlling external ADC0809 chip multi-channel analog input, as well as the amount of strobe to achieve A/D sampling the reasonable control of the process. This part of the design under the EDA technology and VHDL P211- P212 preparation of the cases of 8-2, the difference is that here the book ADDA <= 1 of the assignment changed to ADDA <= EN , EN is the set of input buttons used to control between INO and IN1 channel selection.
Platform: | Size: 1024 | Author: xuye | Hits:

[Program docACE_DOC_5.5_

Description: ACE v5.5 类库文档,chm格式,英文源版。 对使用ACE开发提供不少的帮助。-ACE v5.5 class library documentation, chm format, English version of the source. On the use of ACE to develop a lot of input.
Platform: | Size: 18065408 | Author: zuiwengoo | Hits:

[OtherMoNiJiaYouZhanDuiLie

Description: 模拟加油站队列 某汽车加油站有两台油泵,每台油泵为一辆汽车加油需d分钟(浮点型),现已知此加油站来车率为1/q分钟(q整型),现用计算机模拟此加油站的工作方式,假设模拟时间长度为longtime(整型)分钟,并用步长法模拟,取采样时间间隔为dt(浮点型)分钟。经典的队列问题,可供初学者熟悉队列的操作 运行后输入d,q,longtime,dt(用空格隔开)即可-Simulation of an automobile gas station gas station queue has two pumps, each pump for a vehicle refueling takes d minutes (floating-point type), now known that this gas station to car rate of 1/q min (q integer), Computer simulation is used in this work stations, assuming that the length of time for the simulation of longtime (integer) minutes, and step-size simulation, check the sampling time interval dt (floating-point type) minutes. Queue classic problem for beginners familiar with the operation of the queue to run after the input d, q, longtime, dt (separated by spaces) can
Platform: | Size: 1024 | Author: XY Z | Hits:

[VHDL-FPGA-VerilogIP-DAC

Description: 描述了一个8位二进制输入的DAC 文章中包含源代码 采用数字化技术、在测控系统中用IP核实现D/A转换,并且在1片可编程逻辑器件中实现。它不受温度的影响,既可保持高分辨率,又可降低对电路精度和稳定度的要求,并减少元件的数量。 -Described an 8-bit binary DAC input article contains the source code using digital technology, in measurement and control system using the IP of nuclear realize D/A converter, and a programmable logic device to achieve. It is not the effects of temperature, can maintain high resolution, can reduce the circuit accuracy and stability requirements, and to reduce the component count.
Platform: | Size: 38912 | Author: 开心 | Hits:

[OpenGL programdio2431

Description: 一个KMDF的实例驱动, 对应硬件是 Sealevel系统Dio-24(8008和8018)数据输入输出卡-Examples of a KMDF driver, the corresponding hardware is Sealevel Systems Dio-24 (8008 and 8018) data input and output cards
Platform: | Size: 23552 | Author: Rick Chen | Hits:

[SCMplc

Description: 本文结合开发项目“基于C8051F040 单片机的嵌入式系统开发”,在深入研究嵌入式系统技术的基础上,提出了小型PLC 设计的系统方案。硬件结构以高性能的8 位嵌入式处理器C8051F040 为核心,充分利用其丰富的片上资源,扩展系统的输入输出功能。软件以开发的PLC 内核为核心,开发系统所需要的应用,将嵌入式PLC内核扩展为完整、实用的PLC 系统。-In this paper, the development project C8051F040 MCU-based embedded system development in-depth study of embedded system technology, based on a small PLC systems designed programs. Hardware structures to eight high-performance embedded processor C8051F040 as the core, take full advantage of its rich on-chip resources, the expansion of the system input and output functions. Software to develop the kernel at the core of the PLC to develop systems for applications, will be expanded core embedded PLC integrity, practical PLC system.
Platform: | Size: 526336 | Author: liujingyuan | Hits:

[Otherdwt

Description: One dimensional wavelet transform s input data from file dataIn.txt h[] : -1 0 1 2 g[] : 1 1 0 3 c[] : 1 4 2 5 4 6 7 8-One dimensional wavelet transform s input data from file dataIn.txt h[] :-1 0 1 2 g[] : 1 1 0 3 c[] : 1 4 2 5 4 6 7 8
Platform: | Size: 1024 | Author: 王通 | Hits:

[assembly languagedazilianxi

Description: 这是一个打字计时练习的程序,在缓冲区中预放了一些字母,运行时,可按照屏幕上 显示的字母输入练习,每输入完一行按回车键后,可显示出练习输入的时间.-This is a time to practice typing procedures, pre-amplifier in the buffer zone in a number of letters, run-time can be displayed on the screen in accordance with the input of letters to practice, each finished entering his press Enter, you can show that the importation of practice time.
Platform: | Size: 3072 | Author: liuxin | Hits:

[Windows DevelopAutoWBPY

Description: 输入法码表反查询工具,用了别人的dll。-Input code table anti-query tools, using someone else
Platform: | Size: 417792 | Author: 和尚 | Hits:

[MiddleWareime

Description: 日语输入法,很好用的.......日语输入法,很好用的-Japanese input method, a good use ....... Japanese input method, a good used
Platform: | Size: 1058816 | Author: wp | Hits:

[Otherhanyupinyin

Description: 汉语拼音输入程序,可以智能对字排序,并可输入词组。你可以自己改进!-Pinyin input program can be intelligent sort of word and phrase input. You can improve!
Platform: | Size: 44032 | Author: 阿杜 | Hits:

[SCM16volumecontrolmachineoftheClasses

Description: 本人非常支持单片机设计DIY 在这里再次献出小弟的一点心意,下面是使用AT89S52驱动74HC4015,实现1选择8的数据通道切换器,可以在不8个通道出加上不同大小的电阻.可以用来单声道音量的大小控制. 下面作品图是小弟设计的廉价的 基于单片机的毕业设计 ,它还使用了无线接收发送模块,通过使用手上的遥控器能控制音量的大小,还加了一块HCF4052用于输入音道的选择,支持思路音频信号的输入,一路音频信号的输出: -I very much support the single-chip design DIY here again gave a little boy s mind is to use the following AT89S52 drive 74HC4015, to achieve a choice of 8 switches the data channel, you can not add the 8-channel resistance of different sizes. Can used to the size of mono volume control. The following work plan is designed小弟cheap graduation based on single-chip design, it uses the wireless receiver send module, through the use of the hands of the remote control can control the volume size, but also Add a piece of HCF4052 Road for the importation of sound choices, to support the idea of audio signal input, the output audio signal all the way:
Platform: | Size: 84992 | Author: | Hits:

[Other systemsD

Description: Description 将m个孩子从1到m编上号,按序号围坐成一个圈,从1号孩子开始数,每数到n时,被数到的孩子即离开圈子,然后从下一个孩子开始,再从1开始数,如此不断地数下去,只到只剩下最后一个孩子,问剩下的孩子是几号? Input 输入为一组整数对,每个整数对占一行,整数对的第一个整数表示m,即孩子的个数,第二个整数表示n,即被数到n的孩子将离开。 0<m<10000, n>0 输入以0 0作为结束。 Output 每组整数对输出一个结果整数,每个输出占一行。 最后一行输入0 0不产生输出。-Description will be m children from 1 to m made on the number, by serial number around a circle, from the beginning of the number of children on the 1st of each number to the n when the number of children to leave the circle, and then from the beginning of a child , from the beginning of a few, so keep going, only that there are only a child, asked the remaining child is a few number? Input integer input for a group of right, each integer on the line, rounded to an integer that the first m, that is, the number of children, the second integer, said n, the n was the number of children will leave. 0 <m <10000, n> 0 input to 0 0 as the end. Output each integer integer output a result, each output line. The last line of input 0 0 does not produce output.
Platform: | Size: 1024 | Author: 邻居们 | Hits:

[matlabwork

Description: 设计一M阶自适应回波抵消器,已u(n)作为系统输入,d(n)作为系统期望响应,其中d(n)中混有u(n)的回声,信号以8kHz采样,现要求回波抵消器可以消除16ms之内的回声-The design of a M-order adaptive echo cancellation, and have u (n) as the system input, d (n) as a system to respond to the expectations, in which d (n) in a mixture of u (n) of the echo signal to 8kHz sampling, are requirements 16ms echo cancellation device can be eliminated within the echo
Platform: | Size: 104448 | Author: 赵慧羲 | Hits:

[OtherVB

Description: 使用研华DAQ实现研华数据采集卡的多种功能。包括数据输入输出等。内含多个源码-Implementation using Advantech Advantech DAQ data acquisition card of a variety of functions. Including data input and output and so on. Contains a number of source
Platform: | Size: 136192 | Author: nemo | Hits:

[Software Engineering[evmDM642]

Description: evmDM642的经典例程(ccs),初学者必备-evmDM642 classic routines (ccs), beginners must
Platform: | Size: 5532672 | Author: 东六 | Hits:

[Compress-Decompress algrithmshuffman_coding

Description: ) Compression using huffman code -with a number of bits k per code word -provide huffman table Huffman coding is optimal for a symbol-by-symbol coding with a known input probability distribution.This technique uses a variable-length code table for encoding a source symbol. The table is derived in a particular way based on the estimated probability of occurrence for each possible value of the source symbol .Huffman coding uses a specific method for representing each symbol, resulting in a prefix code that expresses the most common characters using shorter strings of bits than those used for less common source symbols.The Huffman coding is a procedure to generate a binary code tree. -) Compression using huffman code -with a number of bits k per code word -provide huffman table Huffman coding is optimal for a symbol-by-symbol coding with a known input probability distribution.This technique uses a variable-length code table for encoding a source symbol. The table is derived in a particular way based on the estimated probability of occurrence for each possible value of the source symbol .Huffman coding uses a specific method for representing each symbol, resulting in a prefix code that expresses the most common characters using shorter strings of bits than those used for less common source symbols.The Huffman coding is a procedure to generate a binary code tree.
Platform: | Size: 1024 | Author: ria | Hits:
« 1 23 4 5 6 7 8 9 10 ... 43 »

CodeBus www.codebus.net